Taksuntech.ir
1.54K subscribers
677 photos
286 videos
223 files
1.05K links
بزرگ فکر کنید، بهینه احرا کنید.
http://taksuntech.ir
طراحی سیستمی
آنالوگ، دیجیتال، ZYNQ SoC، FPGA و پردازش

ارتباط با ادمین:
@Taksun_Tech
Download Telegram
Media is too big
VIEW IN TELEGRAM
گفتگوی کوتاه ولی تأمل برانگیز پیرامون مدرک گرایی
@taksuntec
*
آموزش محاسبه RMS بوسیله الگوریتم non-restoring square root algorithm در FPGA ها :

https://www.controlpaths.com/2022/07/18/true-rms-compute-in-fpga/

@Taksuntec
کورس آموزشی ویدیویی FPGA از دانشگاه کرنل سال 2022 :
در این آمورش از بردهای آلترا با کد DE1-SoC و نرم افزار مدلسیم و زبان وریلاگ استفاده شده است.

Lectures from ECE 5760 (Advanced Microcontroller Design and System on Chip) at Cornell.


https://www.youtube.com/playlist?list=PLDqMkB5cbBA4OW0fDTu1FY6aw4uBWOpBa

@Taksuntec
وبینار جدید آموزش ویدیویی کامل میکروبلیز و پیاده سازی آن روی بردهای AMD Xilinx توسط آدام تیلور

Learn how to develop MicroBlaze solutions from scratch for your AMD-Xilinx Device. In this webinar we take a tour through the MicroBlaze architecture, interfaces, configuration, use cases and deployment.

Followed by a in depth live lab and build of a MicroBlaze System on the SP701 Board.

https://www.adiuvoengineering.com/mastering-microblaze

@Taksuntec
ویدیوی ورکشاپ جدید دو ساعته ادام تیلور در مورد کار با HLS با VITIS 2021
در این ورکشاپ پنج lab مختلف انجام شده است .

https://www.youtube.com/watch?v=dCBUIcTM3l0

@Taksuntec
Forwarded from 80 Level
This media is not supported in your browser
VIEW IN TELEGRAM
NVIDIA presented a new approach that uses AI to design smaller, faster, and more efficient circuits to deliver more performance with each chip generation.

Learn more: https://80.lv/articles/nvidia-uses-ai-to-create-smaller-and-faster-circuits/?utm_source=telegram
This media is not supported in your browser
VIEW IN TELEGRAM
نحوه ریکاوری اطلاعات یک SDcard به صورت پیشرفته . (احتمالا کنترلر آن خراب شده است)

https://twitter.com/Stammy/status/1552485928505151488

@Taksuntec
ثبت نام وبینار با عنوان :
FPGAs for AI and AI for FPGAs

What you will learn:
In this webinar, we will discuss recent innovations in DL-optimized FPGA architecture, using AI to estimate things such as power consumption on (new or existing) FPGAs and new types of neural network accelerators using existing FPGAs.

https://osfpga.org/fpgas-for-ai-and-ai-for-fpgas/

این وبینار بوسیله نرم افزار زوم برگزار خواهد شد.
@Taksuntec
امکان درخواست برای دسترسی پژوهشگران جهت استفاده از آخرین سخت افزارها و نرم افزارهای زایلینکس :

Would you like to take a #Versal for a test drive? VCK5000 now available to researchers as part of the ETH Zurich HACC.

For more details on HACC program and how to get access see: https://xilinx.github.io/xacc/

https://xilinx.github.io/xacc/get-started.html

https://twitter.com/cathalmccabe/status/1554863326219456514

@Taksuntec
*
گوگل اسپانسر MPW7 شده است. به این صورت که شما با قرار دادن طراحی خودتان چیپ تولید شده را به صورت رایگان دریافت میکنید. efabless این امکان را فراهم کرده است تا به صورت گروهی بتوان چیپ هایی را در تکنولوژی اپن سورس 130 نانومتر تولید کرد تا هزینه تولید را برای کارهای پژوهشی و تجربی کاهش داد .
در وبسایت مربوطه می توان پروژ های ساخته شده در ادوار پیشین را مرور کرد.

The shuttle provides opportunities for designers to experiment and push the state-of-the-art without having to reconcile the risk associated with the cost of fabrication.

The shuttle program is open to anyone, provided that their project is fully open source and meets the other program requirements.

Costs for fabrication, packaging, evaluation boards and shipping are covered by Google for this program.
https://efabless.com/open_shuttle_program

@Taksuntec
*
ده قانونی که از نظر آدام تیلور برای توسعه در زمینه hdl باید آنها را رعایت کرد :

Developing HDL does require engineers follow a basic set of rules to achieve timing closure and create good reusable code. This week I am outlining what I think are the 10 most important rules when developing HDL. 👇👇

https://www.adiuvoengineering.com/post/microzed-chronicles-10-rules-for-hdl-development

@Taksuntec
ویدیوهای آموزشی به صورت پلی لیست در رابطه با بهینه سازی زمانی در طراحی های مبتنی با FPGA ها :
ویدیوی اول اختصاص دارد به معرفی این سری از ویدیو ها .

FPGA Timing Optimization ( Time closure, Timing Constrains ,... ) By Greg Stitt Professor at University of Florida


https://www.youtube.com/watch?v=9Ld9Sr_JE9o&list=PLx-Ml26M7ApIfR5VF0B7LKx0NYqMJ7GR4

@Taksuntec
This media is not supported in your browser
VIEW IN TELEGRAM
مقایسه ترمینولوژی بین طراحی نرم افزارها و FPGA

منبع:
https://www.youtube.com/watch?v=pZWFG4MoXlY

@Taksuntec
آخرین پست شرکت زایلینکس در لینکدین! از این پس اخبار مربوط به FPGA های زایلینکس در اکانت رسمی AMD منتشر خواهد شد.

لینک :
https://www.linkedin.com/posts/xilinx_follow-the-amd-linkedin-page-today-activity-6983469291027247105-fUc3?utm_source=share&utm_medium=member_desktop

@Taksuntec
زایلینکس (AMD) در خبری تداوم سیکل حیات تمامی محصولات سری 7 خود را تا سال 2035 اعلام کرد. با این تصمیم مهندسان و طراحان با اطمینان بیشتری میتوانند از این محصولات در طراحی های آتی خود استفاده کنند.

AMD Xilinx is excited to formally announce that support is being extended for all 7 series FPGAs and adaptive SoCs through at least 2035. This includes our cost-optimized Spartan®-7 and Artix®-7 FPGAs, our entire Zynq®-7000 SoC portfolio, as well as Kintex®-7 and Virtex®-7 FPGAs. All speed and temperature grades are included

https://www.xilinx.com/about/blogs/xilinx-xclusive-blog/category-2022/amd-extends-product-lifecycle-for-all-7-series-devices-through-at-least-2035.html

@Taksuntec
ثبت نام ورکشاپ آدام تیلور با موضوع کار با پتالینوکس :

Many applications require the use of an embedded Linux solution, within the AMD Xilinx ecosystem this is often provided by using PetaLinux.

This workshop will help attendees get started with PetaLinux.

Topics included in the workshop will include:

- What is PetaLinux - Why do we use it and when
- The PetaLinux project flow - How does it integrate with Vitis and Vivado
- PetaLinux and Yocto
- Understanding the PetaLinux boot flow
Device trees
- Customizing device trees for applications
- Project creation - Importing hardware designs
- Customizing Kernel / Root FS
- Creating SW applications
- Debugging applications

https://app.livestorm.co/adiuvo-engineering/perfecting-petalinux-workshop?type=detailed

https://www.linkedin.com/posts/adam-taylor-ceng-fiet-8a991713_perfecting-petalinux-workshop-adiuvo-engineering-activity-6986261098773512192-NvAR/?utm_source=share&utm_medium=member_desktop

@Taksuntec
Forwarded from Ali Mehrpooya
کتاب جدید آدام تیلور،
طراحی سیستمهای امبدد،
داخلش پروسه طراحی یک برد زینک رو توضیح داده، و برد توضیح داده شده در کتاب ساخته هم شده و عکسش در زیر هست.
Forwarded from Ali Mehrpooya
Forwarded from Ali Mehrpooya
A Hands-On Guide to Designing Embedded Systems.pdf
5.1 MB