Taksuntech.ir
1.53K subscribers
677 photos
288 videos
223 files
1.05K links
بزرگ فکر کنید، بهینه احرا کنید.
http://taksuntech.ir
طراحی سیستمی
آنالوگ، دیجیتال، ZYNQ SoC، FPGA و پردازش

ارتباط با ادمین:
@Taksun_Tech
Download Telegram
*
دستگاه بازی Analogue Pocket برای اجرای بازی های Game Boy با استفاده از چیپ های FPGA منتشر شد :

The concept is simple: while many of these older games have aged well, the hardware hasn’t, and Analogue is creating a way to preserve them by making them playable on modern televisions. And, unlike most retro machines, Analogue consoles utilize field-programmable gate array (FPGA) technology, which basically means they function just like the original hardware.

بلاگ:
https://www.theverge.com/22831589/analogue-pocket-review
بررسی ویدیویی :
https://www.youtube.com/watch?v=h6l5fAbcim0

@Taksuntec
ثبت نام در ACM/SIGDA 2022 :
30th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA)

راهنمایی : به صورت non member و حالت دانشجویی میتوان رایگان در کنفرانس و پنج ورکشاپ آن ثبت نام کرد.

https://web.cvent.com/event/155d2866-0c73-490d-9906-db922ad91c70/summary?rp=3924988d-6646-47ca-a5af-a38af1344af6

@Taksuntec
Forwarded from Ali
controller.html
36.3 KB
سلام
دوستان دیدم adam taylor تو یکی از ویدیو هاش یک extension خیلی جالب برای VScode معرفی کرد گفتم به شما هم بگم.

با اکستنشن TerosHDL میتونید برای کد HDL اتون داکیومنت خودکار تولید کنید.
مثلا این فایل رو به صورت خودکار برای یکی از فایل های vhdl ام تولید کرده.
فراخوان همکاری
فرصت شغلی
Media is too big
VIEW IN TELEGRAM
توضیح روند ساخت یک تراشه ساده برای دیکود کردن برای نمایش روی 7سگمنت با استفاده از skywater

لینک اصلی ویدیو :
https://www.youtube.com/watch?v=XEjc5ppRJuw

@Taksuntec
*
ثبت نام جلسه رایگان آموزشی زایلینکس (28فوریه) با عنوان :
Training Information - Introduction to Versal® Platform and RFSoC based Systems

موضوعات مورد بحث :

Two different design platforms of Xilinx will be introduced in this training. Primary focus includes:

- Reviewing the architecture of the Versal ACAP
- Describing the different engines available in the Versal architecture and what resources they contain
- Platform centric design methodology

- Overview of RFSoC systems data and control flows
- Frequency planning and its importance
- Typical use case scenarios of RFSoC for wide-band and narrow band applications

There will be demonstrations and the sessions will be made interactive throughout.

https://docs.google.com/forms/d/e/1FAIpQLSckyILEgnWO0eI0EtSSC3bFkjvov38IZ2O4UZMmO8-1Ozbc6Q/viewform

@Taksuntec
*
ثبت نام چالش طراحی RISC-v :

We're excited to unveil the RISC-V DESIGN CHALLENGE jointly held by RISC-V International, Opensource RT-Thread IoT OS, WCH Microelectronics, LCSC, and EasyEDA! The challenge aims to open up a channel for developers to have an enhanced understanding of the RISC-V application and get hands-on experience with RISC-V MCU. LET'S ROCK!

Your goal is to develop a project using open-source RT-Thread IoT OS on the WCH RISC-V MCU CH32V307. (300pcs hardware + 200pcs Chips to give away, all participants whose projects are selected will receive free hardware or chips.)

https://docs.google.com/forms/d/e/1FAIpQLSeweByALVmvnTeJtGrRm97fdjUIAVpfPjHfKT91waANFeXeqg/viewform

https://twitter.com/rt_thread/status/1496395855230046210

@Taksuntec
ثبت نام رایگان ورکشاپ یک روزه

Workshop Start Vision-based Applications with the Kria KV260 Vision AI Starter Kit

The emphasis of this workshop is on :

- Providing an overview of the Xilinx KriaK26 SOM and its advantages.
- Providing an overview of the Xilinx Kria KV260 Vision AI Starter Kit and how to get started with the kit.
-Running accelerated applications, such as the Smart Camera, AI Box, and Defect Detection applications, using the kit.
- Running the NLP SmartVision demo application using the kit.
- Building the hardware and software design components from scratch.

https://www.core-vision.nl/events/using-vision-based-applications-with-the-kria-kv260-vision-ai-starter-kit-system-on-module-2/?lang=en

@Taksuntec
ثبت نام رایگان ورکشاپ آدام تیلور با موضوع VITIS HLS :

In this workshop, attendees will learn:

Create sources and test benches
Debug applications when it does not go as expected
How to control the interfaces presented to the Vivado design on the final IP block
Understand the default optimisations made by synthesis
How to identify bottlenecks in the design and select constraints to optimize the design and achieve the desired performance
How to leverage the arbitrary precision capabilities of Vitis to implement mathematical algorithms
How to leverage the wider world of Vitis HLS libraries so users no longer have create commonly used functions from scratch
This will be a hands-on workshop with step-by-step instructions to help attendees grow in confidence and capability when using HLS in their designs.

https://app.livestorm.co/adiuvo-engineering/vitis-hls-hero
@Taksuntec
به نام خدا
دعوت به همکاری

✳️ از مهندسین و متخصصان باتجربه در رشته های الکترونیک و کامپیوتر که تمایل به کار و همکاری در محیطی پژوهشی دارند و در حوزه های زیر توانمندی دارند، دعوت می شود تا در انجام پروژه های فناورانه مشارکت نمایند.

مهارت های مورد نیاز:
❗️تسلط به برنامه نویسی HDL و کار با تراشه های FPGA و SoC شرکت Xilinx
❗️تسلط به برنامه نویسی در محیط لینوکس و یا آشنایی کامل با مفاهیم OS و درایور نویسی
❗️تجربه در توسعه و سفارشی سازی سیستم های نهفته (embedded) مبتنی بر لینوکس برای تراشه های Zynq 7000 SoC

✳️ شرایط همکاری به صورت پاره وقت و پروژه ای می باشد.

متقاضیان رزومه کامل خود را به آدرس پست الکترونیکی زیر یا آیدی تلگرام زیر ارسال نمایند.
reasearchiran@chmail.ir
@ask_linx
Forwarded from محمد پورخلیلی
این مقاله چالشهای تصویر برداری در حوزه ورزش رو گفته، چنتا مشخصه رو توضیح داده که تاثیر دارن مثل فریم بر ثانیه، گلوبال شاتر بودن دوربین، نور، موشن بلور
https://core.ac.uk/download/pdf/78638051.pdf
😂😂😂
کیا اینجوری بودن؟
خودم بشخصه چندین بار پا روی آیسی ۸۰۵۱ گذاشتم. چهل پایه چهل تا سورا کف پا ایجاد شد.
@taksunTech
*
ثبت نام رایگان کورس آموزشی کوتاه (به صورت مجازی با نرم افزار زوم) در رابطه با طراحی دیجیتال آسنکرون :

Participants will learn how to design asynchronous circuits at the behavioral level, gate level, and physical design level using design automation tools and the Skywater 130 open-source PDK.

Session 1. June 6: Behavioral design
Session 2. June 13: Gate-level design
Session 3. June 20: Physical design

https://asyncsymposium.org/async2022/

@Taksuntec
This media is not supported in your browser
VIEW IN TELEGRAM
ایلان ماسک:
“یکی از بزرگترین اشتباهاتی که مردم می‌کنند و من هم آن را مرتکب شده‌ام، تفکر آرزومندانه است. شما می‌خواهید که چیزی حقیقت داشته باشد، حتی اگر آن چیز واقعا حقیقت نداشته باشد، [به خاطر همان خواستن] شما حقیقت واقعی را انکار می‌کنید. صرفا به خاطر چیزی که “می‌خواهید” حقیقت داشته باشد و پرهیز کردن از این تله بسیار سخت است”

زمانی که با افرادی صحبت می‌کنید که به قانونوجذب و یا قانون ارتعاش باور دارند مدام به شما می‌گویند افراد موفق دنیا مثل جوودیسپنزا و کوین ترودو از این قانون حمایت می‌کنند! تنها یک سرچ کوتاه کافی‌ست که شما متوجه بشید نه دیسپنزا فیزیک و عرفان و فلسفه خوانده و نه ترودو میلیاردر است.
خوشبینی متوهمانه، آنگونه که در آموزه های قانون جذب وجود دارد خطرناک است و حتی می‌تواند شما را از اهدافتان دور کند. واقع بینی لازمه پیشرفت است و این را حتی می‌توانید از صحبت های ایلان ماسک هم بشنوید. افراد موفق واقعی از قانون جذب استفاده نمی‌کنند. از نیروهای ماوراءالطبیعه کمک نمی‌گیرند. بلکه واقع بین هستند و تلاش می‌کنند. ای کاش بفهمیم که راه میانبری نیست و تنها باید تلاش کرد.

پرهام خیال

@khoshfekran