Taksuntech.ir
1.55K subscribers
677 photos
287 videos
223 files
1.05K links
بزرگ فکر کنید، بهینه احرا کنید.
http://taksuntech.ir
طراحی سیستمی
آنالوگ، دیجیتال، ZYNQ SoC، FPGA و پردازش

ارتباط با ادمین:
@Taksun_Tech
Download Telegram
ثبت نام ورکشاپ رایگان زایلینکس با عنوان کار با IP Integrator : تاریخ برگزاری 19 می 2022

This workshop is suitable for: Software and hardware developers, system architects, AI developers and anyone who wants to learn about the IP Integrator tool.

You will learn about:

- Creating an IPI block design using the Vivado® Design Suite
- Creating your own custom IP via the IP packaging flow
- Using the IP Integrator to add and configure the Versal ACAP CIPS block and then to export the generated programmable device image (PDI)
- Configuring the AXI Network on Chip (NoC) to access DDR memory controllers in Versal ACAP devices

https://www.core-vision.nl/events/free-training-designing-with-the-ip-integrator-tool-2/?lang=en

@Taksuntec
Taksuntech.ir
* ثبت نام چالش طراحی RISC-v : We're excited to unveil the RISC-V DESIGN CHALLENGE jointly held by RISC-V International, Opensource RT-Thread IoT OS, WCH Microelectronics, LCSC, and EasyEDA! The challenge aims to open up a channel for developers to have an…
به تمامی افرادی که در این چالشی که قبلا معرفی کرده بودیم، شرکت کرده بودند و از مرحله مقدماتی کوالیفای شده بودند برای انجام چالش یک برد توسعه و دو تراشه CH32V307 هدیه گرفتند
لیست دریافت کنندگان :
https://t.co/A9BEVCGsna
@Taksuntec
This media is not supported in your browser
VIEW IN TELEGRAM
پیاده سازی الگوریتم یادگیری ماشین 8 کاناله تشخیص اشیا در ویدیو بوسیله Xilinx Kria KV260

8 Channel Multi-ML Model inferencing with Xilinx Kria KV260


@Taksuntec
ویدیوها و ارائه های کامل کنفرانس FPGA 2022 :
The ACM/SIGDA International Symposium on Field-Programmable Gate Arrays is a premier conference for presentation of advances in FPGA technology. Accepted papers will be published in the conference proceedings and available in the ACM Digital Library.

https://www.youtube.com/playlist?list=PLdArYzE0PV_B4QAmr60Zgt47RRO-nqlUQ

@Taksuntec
جمله تأمل برانگیز از وضعیت جامعه و صنعت
@taksuntec
رشته توییتی مفصل و تخصصی در قیاس بین تولید الکتریسیته بوسیله انرژی خورشیدی (سلولهای خورشیدی) و انرژی اتمی :

https://twitter.com/BrianGitt/status/1537545262243074048

@Taksuntec
پیدا کردن ip سایت از طریق dns و lwip:
سوال:
سلام دوستان با lwip میخواستم با متد GET یکسری اطلاعات ارسال کنم به ایپی یک سایت
راهی هست که ادرس سایت رو بدم و بتونم ip اون سایت رو بگیرم؟ منظورم در لایه lwip هست

پاسخ:
سلام مشکل به این شکل حل شد :
ip_addr_t remote_ip;
dns_gethostbyname("www.google.com", &remote_ip , dnsfound, NULL);

تابع کالبک هم باید به این شکل نوشته بشه تا درست کارکنه :

void dnsfound(const char *name,ip_addr_t *ipaddr, void *arg)
{
dns_gethostbyname("www.google.com", &remote_ip , dnsfound, NULL);
char *ip;
ip = ip4addr_ntoa(&remote_ip);
}


@kazemiy974 ممنون از مهندس


@taksuntec
Media is too big
VIEW IN TELEGRAM
گفتگوی کوتاه ولی تأمل برانگیز پیرامون مدرک گرایی
@taksuntec
*
آموزش محاسبه RMS بوسیله الگوریتم non-restoring square root algorithm در FPGA ها :

https://www.controlpaths.com/2022/07/18/true-rms-compute-in-fpga/

@Taksuntec
کورس آموزشی ویدیویی FPGA از دانشگاه کرنل سال 2022 :
در این آمورش از بردهای آلترا با کد DE1-SoC و نرم افزار مدلسیم و زبان وریلاگ استفاده شده است.

Lectures from ECE 5760 (Advanced Microcontroller Design and System on Chip) at Cornell.


https://www.youtube.com/playlist?list=PLDqMkB5cbBA4OW0fDTu1FY6aw4uBWOpBa

@Taksuntec
وبینار جدید آموزش ویدیویی کامل میکروبلیز و پیاده سازی آن روی بردهای AMD Xilinx توسط آدام تیلور

Learn how to develop MicroBlaze solutions from scratch for your AMD-Xilinx Device. In this webinar we take a tour through the MicroBlaze architecture, interfaces, configuration, use cases and deployment.

Followed by a in depth live lab and build of a MicroBlaze System on the SP701 Board.

https://www.adiuvoengineering.com/mastering-microblaze

@Taksuntec
ویدیوی ورکشاپ جدید دو ساعته ادام تیلور در مورد کار با HLS با VITIS 2021
در این ورکشاپ پنج lab مختلف انجام شده است .

https://www.youtube.com/watch?v=dCBUIcTM3l0

@Taksuntec
Forwarded from 80 Level
This media is not supported in your browser
VIEW IN TELEGRAM
NVIDIA presented a new approach that uses AI to design smaller, faster, and more efficient circuits to deliver more performance with each chip generation.

Learn more: https://80.lv/articles/nvidia-uses-ai-to-create-smaller-and-faster-circuits/?utm_source=telegram
This media is not supported in your browser
VIEW IN TELEGRAM
نحوه ریکاوری اطلاعات یک SDcard به صورت پیشرفته . (احتمالا کنترلر آن خراب شده است)

https://twitter.com/Stammy/status/1552485928505151488

@Taksuntec
ثبت نام وبینار با عنوان :
FPGAs for AI and AI for FPGAs

What you will learn:
In this webinar, we will discuss recent innovations in DL-optimized FPGA architecture, using AI to estimate things such as power consumption on (new or existing) FPGAs and new types of neural network accelerators using existing FPGAs.

https://osfpga.org/fpgas-for-ai-and-ai-for-fpgas/

این وبینار بوسیله نرم افزار زوم برگزار خواهد شد.
@Taksuntec
امکان درخواست برای دسترسی پژوهشگران جهت استفاده از آخرین سخت افزارها و نرم افزارهای زایلینکس :

Would you like to take a #Versal for a test drive? VCK5000 now available to researchers as part of the ETH Zurich HACC.

For more details on HACC program and how to get access see: https://xilinx.github.io/xacc/

https://xilinx.github.io/xacc/get-started.html

https://twitter.com/cathalmccabe/status/1554863326219456514

@Taksuntec
*
گوگل اسپانسر MPW7 شده است. به این صورت که شما با قرار دادن طراحی خودتان چیپ تولید شده را به صورت رایگان دریافت میکنید. efabless این امکان را فراهم کرده است تا به صورت گروهی بتوان چیپ هایی را در تکنولوژی اپن سورس 130 نانومتر تولید کرد تا هزینه تولید را برای کارهای پژوهشی و تجربی کاهش داد .
در وبسایت مربوطه می توان پروژ های ساخته شده در ادوار پیشین را مرور کرد.

The shuttle provides opportunities for designers to experiment and push the state-of-the-art without having to reconcile the risk associated with the cost of fabrication.

The shuttle program is open to anyone, provided that their project is fully open source and meets the other program requirements.

Costs for fabrication, packaging, evaluation boards and shipping are covered by Google for this program.
https://efabless.com/open_shuttle_program

@Taksuntec
*
ده قانونی که از نظر آدام تیلور برای توسعه در زمینه hdl باید آنها را رعایت کرد :

Developing HDL does require engineers follow a basic set of rules to achieve timing closure and create good reusable code. This week I am outlining what I think are the 10 most important rules when developing HDL. 👇👇

https://www.adiuvoengineering.com/post/microzed-chronicles-10-rules-for-hdl-development

@Taksuntec
ویدیوهای آموزشی به صورت پلی لیست در رابطه با بهینه سازی زمانی در طراحی های مبتنی با FPGA ها :
ویدیوی اول اختصاص دارد به معرفی این سری از ویدیو ها .

FPGA Timing Optimization ( Time closure, Timing Constrains ,... ) By Greg Stitt Professor at University of Florida


https://www.youtube.com/watch?v=9Ld9Sr_JE9o&list=PLx-Ml26M7ApIfR5VF0B7LKx0NYqMJ7GR4

@Taksuntec